enderalse/source/fs.dll/skse64/skse64/PapyrusEquipSlot.h

14 lines
277 B
C
Raw Normal View History

2021-10-06 00:45:46 +00:00
#pragma once
class BGSEquipSlot;
struct StaticFunctionTag;
class VMClassRegistry;
namespace papyrusEquipSlot
{
void RegisterFuncs(VMClassRegistry* registry);
UInt32 GetNumParents(BGSEquipSlot* equipSlot);
BGSEquipSlot* GetNthParent(BGSEquipSlot* equipSlot, UInt32 n);
}